Welcome![Sign In][Sign Up]
Location:
Search - sdram vhdl

Search list

[Other resourceref-ddr-sdram-vhdl

Description: 用VHDL编写DDR SDRAM Controller的源代码- Compiles DDR SDRAM Controller with VHDL the source code
Platform: | Size: 1031656 | Author: 包盛花 | Hits:

[Other resourceref-sdr-sdram-vhdl

Description: DDR控制器的VHDL源代码.采用FPGA实现DDR接口控制器,适用于Altera的FPGA,最高频率可到100M-DDR controller VHDL source code. Using FPGA DDR interface controller, applicable to Altera FPGA, the highest frequency available 100M
Platform: | Size: 776642 | Author: 张涛 | Hits:

[Other resourcesdr sdram controller

Description: ALTERA sdram vhdl与verilog参考设计-Altera SDRAM VHDL and Verilog reference design
Platform: | Size: 2459435 | Author: 陈东平 | Hits:

[Otherref-ddr-sdram-vhdl

Description: 本程序是DDR SDRAM控制器的VHDL程序,由ALTERA 提供-this procedure is DDR SDRAM controller VHDL procedures provided by Altera
Platform: | Size: 437055 | Author: kevin | Hits:

[WEB CodeSDRAM-VHDL

Description: SDRAM控制器的VHDL实现,pdf格式,有需要多的,联系我-SDRAM controller VHDL, pdf format, it needs more, Contact
Platform: | Size: 125439 | Author: 许春明 | Hits:

[Otherref-sdr-sdram-vhdl

Description: FPGA连接SDRAM的源程序,VHDL语言实现,功能基本完全。应用效果好。
Platform: | Size: 731727 | Author: young | Hits:

[Other resourceref-sdr-sdram-vhdl

Description: 标准SDR SDRAM控制器参考设计_verilog_lattice\\sdr_ctrl.v
Platform: | Size: 776642 | Author: 王廷龙 | Hits:

[SourceCodeDDR(双速率)SDRAM控制器参考设计VHDL代码

Description: SDRAM的控制程序很复杂,这个对大家肯定有帮助!
Platform: | Size: 1022145 | Author: zsy5460 | Hits:

[SourceCodealtera sdram controller

Description: altera sdram controller vhdl
Platform: | Size: 2365413 | Author: langzhongfeilang@126.com | Hits:

[VHDL-FPGA-Verilogsdram_vhd_134

Description: Xilinx Sdram控制器VHDL源代码-Sound code of Xilinx Sdram Controller based on VHDL
Platform: | Size: 54272 | Author: 刘汉忠 | Hits:

[VHDL-FPGA-Verilogsdram_vhdl_lattice

Description: lattice sdram 控制器VHDL源代码-Sound code of Lattice Sdram Controller based on VHDL
Platform: | Size: 180224 | Author: 刘汉忠 | Hits:

[VHDL-FPGA-VerilogVerilog&Vhdl混语言对SDRAM的控制源代码

Description: Verilog&Vhdl混语言对SDRAM的控制源代码,提供了很好的例子,顶层文件为sdrm.v!-VerilogVhdl mixed language SDRAM control of the source code, provided a good example of top-level documents sdrm.v!
Platform: | Size: 249856 | Author: 飞扬 | Hits:

[OtherSDR-SDRAM-ctl1

Description: SDR SDRAM控制器,FPGA vhdl代码-SDR SDRAM Controller
Platform: | Size: 718848 | Author: | Hits:

[VHDL-FPGA-Verilogsdram

Description: 程序说明: 本次实验控制开发板上面的SDRAM完成读写功能。 先向SDRAM里面写数据,然后再将数据读出来做比较,如果不匹配就通过LED变亮显示出来,如果一致,LED就不亮。 part1是使用Modelsim仿真的工程 part2是在开发斑上面验证的工程 目录说明: part1: part1_32是4m32SDRAM的仿真工程 part1_16是4m16SDRAM的仿真工程 \model文件夹里面是仿真模型 \rtl文件夹里面是源文件 \sim文件夹里面是仿真工程 \test_bench文件夹里面是测试文件 \wave文件夹里面是仿真波形 -Procedure Note: In this experiment, control development board to complete the above SDRAM read and write capabilities. SDRAM write data inside first and then read out the data to compare, if you do not match on the adoption of LED variable light display, if agreed, LED does not light. part1 is to use Modelsim simulation project part2 the top spot verification in the development of the project directory Description: part1: part1_32 is 4m32SDRAM simulation project part1_16 is 4m16SDRAM simulation works \ model folder, which is a simulation model \ rtl folder, which is the source file \ sim is a simulation project inside the folder \ test_bench folder which is a test file \ wave inside the folder is a simulation waveform
Platform: | Size: 779264 | Author: 军军 | Hits:

[Software EngineeringSDRAM

Description: 连接Nios II 和SDRAM的系统设计,DDR SDRAM设计及调试经验总结,MT48LC16M16资料。-failed to translate
Platform: | Size: 1903616 | Author: luyi | Hits:

[VHDL-FPGA-Verilogsdram-source

Description: SDR SDRAM 控制器的源代码 altera公司的-source code from altera
Platform: | Size: 717824 | Author: wela | Hits:

[VHDL-FPGA-VerilogSDRAM-controller-design-FPGA-based

Description: 基于FPGA的SDRAM控制器设计及应用硕士论文-SDRAM controller design FPGA based
Platform: | Size: 3163136 | Author: connie | Hits:

[VHDL-FPGA-VerilogSDRAM

Description: 使用VHDL语言编写的对SDRAM进行读写操作控制器及其简单的测试层序。(VHDL language used to read and write operations controller SDRAM and its simple test sequence.)
Platform: | Size: 26998784 | Author: Devil0823 | Hits:

[VHDL-FPGA-Verilogsdram

Description: sdram程序源代码 仿真成功 可供学习使用(SDRAM program source code, simulation success, available for learning to use)
Platform: | Size: 8962048 | Author: AYEA | Hits:

[VHDL-FPGA-VerilogSDRAM

Description: SDRAM编程代码,FPGA 的设计代码。(SDRAM programming code, FPGA design code.)
Platform: | Size: 9737216 | Author: FPGA110 | Hits:
« 1 23 4 5 6 7 8 9 10 ... 13 »

CodeBus www.codebus.net